FPGA

FPGA Nedir?

Bu yazımda FPGA nın en temel tanıtımını yapmaktan ziyade FPGA konusunda bazı detay bilgiler vermeyi planlıyorum. Temel bilgi için wiki sayfasına bakılabilir.

FPGA bir mikrodenetleyici gibi içerisine gerekli temel modüller eklenmiş programlanarak direk uygulamalarda kullanılan bir sistem değildir. İçerisinde temel bölmeler içeren, bu bölmeleri temel sayısal tasarım işlemleri ile kapı olarak, hafıza elemanı olarak kullandırtan daha çok deneme işleri için kullanılan bir yapıdır. “FPGA Nedir?” yazısını okumaya devam et