FPGA · VHDL

VHDL ile Multiplexer

VHDL ile yazdığım 2 girişli multiplexer kodu aşağıdaki gibidir. Multiplexer için buradan bilgi alabilirsiniz.

library ieee;
use ieee.std_logic_1164.all;

entity mux is
	Port ( s, i0, i1 : in bit; o : out bit);
end entity;

architecture islem of mux is
begin
	Process( s, i0, i1)
	begin
		case s is
			when '0' =>         --s=0 icin
				o <= i0;
			when '1' =>         --s=1 icin
				o <= i1;
		end case;
	end Process;
end architecture islem;