FPGA

FPGA Nedir?

Bu yazımda FPGA nın en temel tanıtımını yapmaktan ziyade FPGA konusunda bazı detay bilgiler vermeyi planlıyorum. Temel bilgi için wiki sayfasına bakılabilir.

FPGA bir mikrodenetleyici gibi içerisine gerekli temel modüller eklenmiş programlanarak direk uygulamalarda kullanılan bir sistem değildir. İçerisinde temel bölmeler içeren, bu bölmeleri temel sayısal tasarım işlemleri ile kapı olarak, hafıza elemanı olarak kullandırtan daha çok deneme işleri için kullanılan bir yapıdır. “FPGA Nedir?” yazısını okumaya devam et

FPGA · VHDL

VHDL ile Multiplexer

VHDL ile yazdığım 2 girişli multiplexer kodu aşağıdaki gibidir. Multiplexer için buradan bilgi alabilirsiniz.

library ieee;
use ieee.std_logic_1164.all;

entity mux is
	Port ( s, i0, i1 : in bit; o : out bit);
end entity;

architecture islem of mux is
begin
	Process( s, i0, i1)
	begin
		case s is
			when '0' =>         --s=0 icin
				o <= i0;
			when '1' =>         --s=1 icin
				o <= i1;
		end case;
	end Process;
end architecture islem;